保存桌面快捷方式 - - 设为首页 - 手机版
凹丫丫旗下网站:四字成语大全 - 故事大全 - 范文大全
您现在的位置: 范文大全 >> 理工论文 >> 电子通信论文 >> 正文

一种可编程的全数字锁相环路的实现


end if;

end if;

end if;

end if;

end process;

process(clkl,cao1)

begin

cao111<=cao11 or cao1

if(clkl’event and clkl=‘1’)then

if(cao111=‘1’)then

ca011<=‘1’;

if(q1=“1111”)then

cao11<=‘O’;

q1<=“0000”;

elSe

q1<=q1+‘1’;

end if;

end if;

end if;

end process;

process(clkl,cao2)

beSin

cao222<=cao22 or cao2;

if(clkl’event and clkl=‘1’)then

if(ca0222=‘1’)then

cao22<=‘1’;

if(q2=“1111”)then

cao22<=‘0’;

q2<=“0000”;

e1Se

q2<=q2+‘1’;

end if;

eHd if;

end if;

end process;

INC<=gao11;

DEC<=cao222;

end behave;

1.2.3 数控振荡器

数控振荡器采用加/减脉冲控制器I/D和模N计数器实现,它的输出是一脉冲序列,周期受数字环路滤波器送来的进位或借位校正信号控制。图2是加/减脉冲控制器硬件电路图,该电路由四片7474芯片和一片JK触发器以及其它一些逻辑门构成。
(凹丫丫范文网fanwen.oyaya.net收集整理)
    1.3 DPLL工作性能分析

可逆计数器Q可看作一个模K分频器,其输出频率为:

fQout=(KeΦeMf0)/K(Hz)&nb

《一种可编程的全数字锁相环路的实现(第4页)》
本文链接地址:http://www.oyaya.net/fanwen/view/151737.html

★温馨提示:你可以返回到 电子通信论文 也可以利用本站页顶的站内搜索功能查找你想要的文章。