保存桌面快捷方式 - - 设为首页 - 手机版
凹丫丫旗下网站:四字成语大全 - 故事大全 - 范文大全
您现在的位置: 范文大全 >> 理工论文 >> 电子通信论文 >> 正文

ISP技术在高速数据采集模块中的应


电路的结构。

输入输出单元(Input Output Cell)中的输入、输出或双向信号与具体的I/O引脚相连接,可以构成输入、输出、三态输出的I/O口。

巨块是GLB及其对应的ORP、IOC的总称。Is-pLSI2032中有两个巨块,通常分布在全局布线区的两侧。每个巨块均包含GLB、I/O口和专用输入端,其中专用输入端不经锁存器即可直接输入。它们均可在软件

分配下供本巨块内的GLB使用。

2.2 ispLSI2032的工作过程

外部信号一般通过I/O单元引导全局布线区,全局布线区主要完成任意I/O端到任意GLB的互连、任意GLB间的互连以及各输入I/O信号到输出布线区的连接。器件的所有功能均可由一个GLB或多个GLB级联完成。在设计中,笔者使用的是ispLEVER软件,它包含有Lattice编译器、顶层项目管理器、设计输入编辑器等?同时还包括Lattice门级功能和实时仿真器,因而能够对原理图、VHDL或Abel-HDL语言进行仿真?其设计流程如图3所示。

3 ispLSI2032在系统中的应用

3.1 硬件选择

ispLSI器件可分为5大系列,它们的密度、速度以及寄存器数目各不相同。而ispLSI2032速度高达154MHz,是同行业中速度最高的CPLD器件,通过其在系统可编程(ISP)功能可在印刷电路板上对逻辑器件进行编程或改写。ispLSI2032的内部电路总体框图如图4所示。

其中LOGIC9是地址发生电路的逻辑控制部分,COUN11是地址发生电路部分,GLF2是地址锁存部分。地址发生电路与地址锁存电路是实现A/D转换后数据存储的关键部件,它可向存储器SRAM6264提供写入操作的顺序地址和读出操作的实时地址,同时可提供地址计数器的清零信号和两片数据锁存器的方向控制信号。

3.1 程序设计

在应用IspLSI2032进行系统设计时,可采用原理图和VHDL混合输入的方法。地址产生电路中COUN11可由两个4位同步二进制计数器级联构成。地址发生电路的逻辑控制部分LOGIC9、地址锁存部分的逻辑控制部分和地址锁存部分GLF2可由VHDL语言来进行设计,下面给出GLF2的程序设计代码:

library ieee;

use ieee.std_logic_1164.all;

entity glf2 is

port(a0,a1,a2,a3,a4,a5,a6,a7: in std_logic;

b0,b1,b2,b3,b4,b5,b6,b7: in std_logic;

oc1,c,oc2: in std_logic;

Q0,Q1,Q2,Q3,Q4,Q5,Q6,Q7:out std_logic);

end glf2;

architecture exam of glf2 is

begin

process(oc1,c,oc2)

begin

if(oc1=‘0' and c=‘1' and oc2=‘1') then

Q0<=a0;Q1<=a1;Q2<=a2;Q3<=a3;Q4<=a4;Q5<=a5;Q6<=a6;Q7<=a7;

elsif(oc1=‘1' and

《ISP技术在高速数据采集模块中的应(第2页)》
本文链接地址:http://www.oyaya.net/fanwen/view/152801.html

★温馨提示:你可以返回到 电子通信论文 也可以利用本站页顶的站内搜索功能查找你想要的文章。