保存桌面快捷方式 - - 设为首页 - 手机版
凹丫丫旗下网站:四字成语大全 - 故事大全 - 范文大全
您现在的位置: 范文大全 >> 理工论文 >> 电子通信论文 >> 正文

在PLD开发中提高VHDL的综合质量


量的因素有两个,即代码质量和综合软件性能。
  
  ④适配与分割。如果整个设计超出器件的宏单元或I/O单元资源,可以将设计划分到多片同系列的器件中。
  
  ⑤装配或布局布线。将EDIF文件调入PLD厂家提供的软件中进行装配(对于CPLD)或布局布线(对于FPGA),即将设计好的逻辑写入CPLD/FPGA器件中。
  
  ⑥时序仿真。即延时仿真,由于不同器件、不同布局布线,给延时造成的影响不同,因此对系统进行时序仿真,检验设计性能,消除竞争冒险是必不可少的步骤。
  
  利用VHDL语言进行PLD设计开发的基本流程如图1所示。如果选用Altera公司CPLD器件作为目标器件,上述过程可以在Altera公司提供的Max+plusII或QuartusII集成开发环境中完成,但如果选用专用的EDA综合工具作为补充,完成逻辑优化与综合,设计质量会更好。第三方综合软件的主要功能就是对HDL语言的源文件进行逻辑综合,生成.edf的EDA工业标准文件,然后在PLD厂家提供的开发软件中调入.edf文件,进行编译、仿真、器件编程等过程,最终完成整个设计。针对Altera公司CPLD器件,我们选用QuartusII+LeonardoSpectrum的EDA组合开发方式,更重要的是,广大学习爱好者可以在www.altera.com网站免费获得。下面对两款软件作简要介绍。
  
  2QuartusII软件的应用
  
  QuartusII是Altera公司的第四代可编程逻辑器件集成开发环境,提供从设计输入到器件编程的全部功能。
  
  
  
  QuartusII可以产生并识别EDIF网表文件、VHDL网表文件和VerilogHDL网表文件,为其它EDA工具提供了方便的接口;可以在QuartusII集成环境中自动运行其它EDA工具。
  
  利用QuartusII软件的开发流程可概括为以下几步:设计输入、设计编译、设计定时分析、设计仿真和器件编程。
  
  (1)设计输入
  
  QuartusII软件在File菜单中提供"NewProjectWizard…"向导,引导设计者完成项目的创建。当设计者需要向项目中添加新的VHDL文件时,可以通过"New"选项选择添加。
  
  (2)设计编译
  
  QuartusII编译器完成的功能有:检查设计错误、对逻辑进行综合、提取定时信息、在指定的Altera系列器件中进行适配分割,产生的输出文件将用于设计仿真、定时分析及器件编程。
  
  图4LeonardoSpectrum软件AdvancedFlowTabs界面
  
  ①首先确定软件处于CompileMode,可以通过Processing菜单进行选择。
  
  ②在Processing菜单中选择CompilerSettings项,如图2所示。可以进行器件选择、模式设定、综合和适配选项设定及设计验证等。
  
  ③单击Processing菜单下的"StartCompilation"项,开始编译过程。
  
  ④查看编译结果。如图3所示,我们可以得到详细的编译报告。
  
  (3)设计定时分析
  
  单击Project菜单下的"T

《在PLD开发中提高VHDL的综合质量(第2页)》
本文链接地址:http://www.oyaya.net/fanwen/view/169191.html

★温馨提示:你可以返回到 电子通信论文 也可以利用本站页顶的站内搜索功能查找你想要的文章。