保存桌面快捷方式 - - 设为首页 - 手机版
凹丫丫旗下网站:四字成语大全 - 故事大全 - 范文大全
您现在的位置: 范文大全 >> 理工论文 >> 电子通信论文 >> 正文

在PLD开发中提高VHDL的综合质量


imingSettings…"选项,可以方便地完成时间参数的设定。QuartusII软件的定时分析功能在编译过程结束之后自动运行,并在编译报告的TimingAnalyses文件夹中显示,如图3所示。其中我们可以得到最高频率fmax、输入寄存器的建立时间tSU、引脚到引脚延迟tPD、输出寄存器时钟到输出的延迟tCO和输入保持时间tH等时间参数的详细报告,从中可以清楚地判定是否达到系统的定时要求。
  
  (4)设计仿真
  
  QuartusII软件允许设计者使用基于文本的向量文件(.vec)作为仿真器的激励,也可以在QuartusII软件的波形编辑器中产生向量波形文件(.vwf)作为仿真器的激励。波形编辑方式与MAX+PLUSII软件的操作相似。在Processing菜单下选择"SimulateMode"选项进入仿真模式,选择"SimulatorSettings…"对话框进行仿真设置。在这里可以选择激励文件、仿真模式(功能仿真或时序仿真)等,单击"RunSimulator"即开始仿真过程。
  
  (5)器件编程
  
  设计者可以将配置数据通过MasterBlaster或ByteBlasterMV通信电缆下载到器件当中,通过被动串行配置模式或JTAG模式对器件进行配置编程,还可以在JTAG模式下给多个器件进行编程。利用QuartusII软件给器件编程或配置时,首先需要打开编程器(在New菜单选项中选择打开ChainDescriptionFile),在编程器中可以进行编程模式设置(Mode下拉框)、硬件配置(ProgrammingHardware对话框)及编程文件选择(AddFile…按钮),将以上配置存盘产生.cdf文件,其中存储了器件的名称、器件的设计及硬件设置等编程信息。当以上过程正确无误后,单击Start按钮即可开始对器件进行编程配置。
  
  3LeonardoSpectrum软件的应用
  
  LeonardoSpectrum是MentorGraphics的子公司ExemplarLogic的专业VHDL/VerilogHDL综合软件,简单易用,可控性较强,可以在LeonardoSpectrum中综合优化并产生EDIF文件,作为QuartusII的编译输入。该软件有三种逻辑综合方式:SynthesisWizard(综合向导)、QuickSetup(快速完成)、AdvancedFlowTabs(详细流程)方式。三种方式完成的功能基本相同。SynthesisWizard方式最简单,AdvancedFlowTabs方式则最全面,该方式有六个选项单,如图4所示,分别完成以下功能:器件选择、设计文件输入、约束条件指定、优化选择、输出网表文件设置及选择调用布局布线工具。
  
  以上每步操作都提供相应的帮助,简单明了。需要注意的是,在输入设计文件时要正确排列文件的次序,将底层文件放在前面,顶层文件放到后面,这样LeonardoSpectrum软件才能正确地建立数据信息库。综合完成后,可以将输出网表文件(.EDF)作为MAX+PLUSII或QuartusII的设计输入文件,再完成编译、仿真、定时分析和器件编程等步骤,完成整个系统的设计过程。
  
  (A)(B)(C)(D)
  
  4VHDL编码方式对综合质量的影响
  
  VHDL语言支持全部的仿真功能,但并不是全部可综合的。VHDL程序的许多硬件描述和仿真结

《在PLD开发中提高VHDL的综合质量(第3页)》
本文链接地址:http://www.oyaya.net/fanwen/view/169191.html

★温馨提示:你可以返回到 电子通信论文 也可以利用本站页顶的站内搜索功能查找你想要的文章。