保存桌面快捷方式 - - 设为首页 - 手机版
凹丫丫旗下网站:四字成语大全 - 故事大全 - 范文大全
您现在的位置: 范文大全 >> 理工论文 >> 电子通信论文 >> 正文

一种新型的电子点菜系统


摘要:为克服传统点菜方式的弊端,提出一种新型的电子点菜系统。该系统使用VHDL语言设计,并用FPGA芯片实现。它功能齐全、使用方便,如在餐饮业得以推广,可大大提高服务质量,并节省许多人力物力。

    关键词:电子菜单 VHDL FPGA

在目前的餐馆里,客人点菜时总要有服务员在旁边等候,不仅要记录客人所点的菜,还要回答客人提出的各种关于菜的口味等问题。当顾客比较多时,服务员就会应接不暇,不仅耽误了顾客的时间,还影响了顾客对饭店的印象,并且顾客对已点菜的数量尤其是价钱往往不能很及时地了解。如果采用电子菜谱,客人点菜的时候,基本不需要服务员的陪伴,而且能实时地了解菜的特色,且对已点过的菜的数量和价钱一目了然,方便了顾客的消费,同时也减轻了餐馆的服务压力。

本文提出一种电子点菜装置,并在ALTERA公司的MAX+PLUSII环境下利用VHDL语言编程,用FPGA芯片得以实现。它功能齐全、造价低廉、操作简便,在餐饮业具有较好的推广价值。

1 系统功能

该系统的实物样图如图1所示。

该系统共采用两组9个LED数码管、3组LED灯和3组键盘。它的功能比较完善:从顾客的角度来讲,当顾客按下一个菜名键时,面板上方的4组8个表示菜的口味的LED灯会点亮;当顾客对菜的口味满意时,通过按面板上方的“十”、“—”键来对选菜进行增删操作。在操作过程中,面板上方的数码管实时显示菜的总价钱、单个菜的数量和总数量,顾客点选过的菜旁的LED灯也会自动点亮,使顾客对自己的点菜情况一目了然。从商家的角度来讲,商家可以通过更改按键上的菜名的标签更改菜的名字,还可以通过面板后面的一组键盘(如图1所示)更改菜的单价和口味。更改菜的单价时需要输入密码,并且密码也可以更改,保证商家对菜的信息进行任意的控制。

2 系统设计

该系统共分11个模块,其结构如图2所示。

图1

    2.1 键盘模块

键盘1是菜名键,其功能是:当顾客点按键盘时,从存储器的相应地址里读取数据。数

据是28位的,高8位是菜的口味,送到菜的口味显示模块里点亮相应的LED灯;低20位是菜的单价,送到加减控制模块里等待进行加、减运算;同时经过键盘抖动消除电路输出按键有效信号到控制器,作为产生加减的使能信号。

键盘2产生加或减信号,信号经抖动消除电路后输出到BCD加减法器,以确认是加运算还是减运算;同时输出按键确认信号到控制器,与键盘1的按键有效信号共同产生加减使能信号控制BCD加减法器进行运算。

2.2 控制模块

控制器接收到两个键盘的按键有效信号时,首先判断这两个信号的顺序,必须是键盘1的有效信号先到(只判断最先的一次,往后不计顺序);然后判断菜的单个数量和总数量有无超出范围。当均符合要求时,输出加减使能信号到加减控制器,然后加减控制器再输出加(减)数、被加(减)数到BCD加减法器完成加(减)运算。同时它还根据键盘1、2输入的计数信号产生菜的数量和总数量,输出到数码管显示模块进行显示。这一部分的VHDL程序如下:

if (scan_f=`1`and scan_f`event)then

if(key_valid=`1`)then ——如果键盘1有键按下

scan_cnt:=scan_cntout; ——键盘索引值

tempscan:=conv_integer(scan_cnt);

eachnum<=temp(tempscan); ——赋菜的数

totalnum<=temptotalnum; ——量

judge:=true; ——确认键盘1首先有键按下

end if;

i

《一种新型的电子点菜系统》
本文链接地址:http://www.oyaya.net/fanwen/view/177195.html

★温馨提示:你可以返回到 电子通信论文 也可以利用本站页顶的站内搜索功能查找你想要的文章。